Equipment

The equipment set within the cleanroom includes tools to implement all of the processing steps typically used in the fabrication of electronic devices (such as transistors), optoelectronics (such as lasers and photodetectors), microfluidic devices, and novel nanodevices. These capabilities include high-resolution electron-beam lithography; several optical lithography techniques; deposition of metal, dielectric, and semiconductor films; etching of metals, dielectrics, and semiconducting materials by both wet and dry chemical processes; and metrology techniques to support these processes.

Lithography

Lithography tools provide a patterning technique where a light sensitive polymer (photoresist) is exposed to a spectrum of light (electron-beam or ultraviolet) that causes it to change its structure.

  • G C A Wafer Stepper

    GCA AutoStepper System

    The AutoStep 200 lithography system is a 5x reduction, production grade i-stepper with repeat exposure capabilities as large as 17 mm x 17 mm.

  • Suss M J B 3 Mask Aligner

    MJB-3 Mask Aligner

    The SUSS MJB 3 mask aligner is equipped with a 200 W mercury short-arc lamp housing containing a relatively simple and yet comparatively high resolution optical system.

  • O A I Light Source

    OAI Deep-UV Light Source

    The OAI light source is a high-performance collimated illumination system intended for UV flood exposure related applications.

  • Visctec E B L

    Raith EBL

    The Raith EBPG5200 is a state-of-the-art electron beam lithography system that can write to less than 8 nm in size on full 200 nm wafers or small sample pieces.

Deposition

Deposition systems grow films that are either conductive (metals) or non-conductive (dielectric) through a wide variety of physical and chemical vapor techniques.

  • Denton E beam Evaporator

    Denton Evaporator

    The Denton Explorer® 14 e-beam evaporator is a six-pocket hearth deposition system.

  • Oerlikon Dual Chamber Sputtering System

    Dual-Chamber Sputtering System

    The Oerlikon 450C Dual Chamber Sputtering System (DCSS) is a three-chamber, 16-target ultra-high vacuum (UHV) sputtering system used to deposit magnetic materials.

  • Emitech Sputter Coater

    Emitech Sputter Coater

    The K675X coating system employs a magnetron target assembly that enhances the efficiency of the sputtering process using low voltages, giving a thin and fine-grain coating.

  • Airco Temescal F c 1800 1

    FC1800-1

    The Model FC-1800 is an electron beam vacuum deposition system.

  • Airco Temescal F c 1800 2

    FC1800-2

    The Model FC-1800 is an electron beam vacuum deposition system.

  • Airco Temescal F c 1800 3

    FC1800-3

    The Model FC-1800 is an electron beam vacuum deposition system.

  • Lesker A L D system

    Lesker ALD

    The Lesker ALD150LX Atomic Layer Deposition (ALD) system is designed for R&D applications using their patented precursor focusing technology and advanced process capabilities.

  • First Nano Furnace

    LPCVD

    The First Nano EasyTube 6000 is a horizontal-tube furnace system, capable of processing 4" (100 mm) diameter silicon wafers.

  • M B E system

    MBE

    Molecular beam epitaxy (MBE) systems are used to grow high-purity, single-crystal films in specific crystallographic orientations determined by the substrate.

  • MOCVD

    MOCVD

    The metal organic chemical vapor deposition (MOCVD) system is used to create high purity crystalline compound semiconductor thin films like GaN.

  • Oerlikon E beam Evaporator

    Oerlikon Evaporator

    The Oerlikon 450B evaporator is an electron beam vacuum deposition system.

  • Oxford A L D

    Oxford ALD

    The Oxford Instruments FlexAL atomic layer deposition system is a plasma-enhanced ALD system for the monolayer growth of thin films.

  • Perkin Elmer 2400 Sputtering System

    PE-2400 Sputtering System

    The Randex Model 2400-8SA sputtering system is designed to deposit a wide variety of materials onto substrates

  • Unaxis P e c v d system

    PECVD

    The Unaxis 790 Series Plasma Enhanced Chemical Vapor Deposition (PECVD) system is used for the deposition of SiO2 and silicon nitride on a wide variety of substrates and wafers.

  • Savannah A L D System

    Savannah ALD

    The Savannah 100 is an atomic layer deposition (ALD) tool.

  • Oerlikon Single Chamber Sputtering System

    Single-Chamber Sputtering System

    The Oerlikon 450B Single Chamber Sputtering System (SCSS) is equipped with three DC magnetron-enhanced sputtering guns (800 W) and two RF sputtering guns (300 W).

  • Varian Thermal Deposition System

    Varian Thermal Deposition System

    The Varian thermal evaporator is a thermal vacuum deposition system.

  • Veeco Evaporator

    Veeco Evaporator

    The unit is used for thermal vacuum deposition of Al or Cr to the substrates situated some 30 cm above the evaporating metals.

Etching

Dry (plasma) etching is the process of removing unwanted material from a substrate by converting the unwanted material into a volatile gas phase.

  • Alcatel I c p D r i e

    Alcatel DRIE System

    The Alcatel 601 E is an automatic etching machine used exclusively for the plasma etching of silicon and related materials utilizing the Bosch Process.

  • Drytek Asher

    Drytek Plasma Asher

    The Drytek Mega Strip 5 utilizes an exclusive passivation method, which produces a greater number of active species. 

  • Memsstar Zenon Difluoride Etcher

    MemsStar XeF2 Etcher

    The MemsStar is a vapor-phase silicon etch system using xenon difluoride (XeF2) and a novel vapor delivery system.

  • Oerlikon I c p R i e

    Oerlikon ICP-RIE

    The Oerlikon system is an inductively coupled plasma (ICP) reactor that is used for reactive ion etching.

  • Oxford I C P R I E

    Oxford ICP-RIE

    The Oxford system is an inductive coupled plasma (ICP) reactor that is used for reactive ion etches.

  • Plasmatherm R I E

    Plasma-Therm RIE

    Plasma-Therm's 790 Series provides a platform for parallel plate (capacitively coupled) reactive ion etch (RIE) processes.

  • P V A Asher

    PVA Plasma Asher

    The PS210 utilizes high-energy microwaves as its energy source for ion/radical formation.

  • Tegal Asher

    Tegal Barrel Asher

    The Tegal PlasmaLine asher is a general purpose O2 plasma used to remove photoresist and for general purpose cleaning.

  • U V O Cleaner

    UVO Cleaner

    Model 144AX produces near-atomically clean surfaces in less than one minute by utilizing the UV/ozone cleaning method.

Thermal Processes

Thermal processing equipment relies on heat to achieve diffusion, activation (dopants), or annealing of substrates.

  • Allwin RTP

    Allwin RTP System

    The AccuThermo AW610 is an atmospheric rapid thermal processing (RTP) system that uses high-intensity visible radiation to heat single wafers for short process periods of time at precisely controlled temperatures.

  • BlueM Furnace

    BlueM Furnace

    This furnace is typically used for metal annealing to produce ohmic contacts and curing (spin on glass and BCB resists).

  • Carbolite Nanotube Furnace

    Carbolite Nanotube Furnace

    The Carbolite nanotube furnace is a three-zone heating system with a max temperature of 1200 °C.

  • III V Area

    III-V Furnace

    The III-V oxidation furnace is used for the formation of compound semiconductor oxide films.

  • SSI RTP

    SSI RTP System

    The Solaris 75/100 is an RTP system designed for R&D and pre-production.

  • Thermco Furnace

    Thermco Diffusion Furnace

    The six-stack Thermco Furnace is used for general purpose silicon processing.

Planarization and Mechanical Processing

This equipment provides material removal and polishing applications used to thin substrates, control surface roughness, or smooth substrate surfaces using colloidal suspensions and abrasive pads.

  • Allied Multiprep C M P

    Allied Multi-Prep CMP System

    The MultiPrepTM System enables precise semi-automatic preparation of a wide range of materials for microscopic evaluation and package thinning applications.

  • Disco Dicing Saw

    Disco Dicing Saw

    The Disco Automatic Dicing Saw (Model DAD 3240) is a programmable automatic saw for cutting semiconductor wafers and other hard materials.

  • Disco Grinder

    Disco Grinder

    The Disco Automatic Grinder Model 810 (DAG 810) is a single-spindle / single-chuck-table unit that performs back-side grinding on samples.

  • Hall Effect Measurement System

    Hall Effect Measurement System

    The HL5500PC enables measurement of resistivity, carrier concentration and mobility on a wide range of semiconductors and with minimum effort in sample preparation.

  • Logitech CMP

    Logitech CMP Sytem

    The Logitech Orbis Chemical Mechanical Planarization (CMP) system is used for fine-scale polishing and planarization of a variety of metals in the damascene process as well as dielectrics.

  • Teledyne TAC Probe Station

    Teledyne TAC Probe

    The Teledyne TAC probe station allows testing of devices that are still mounted on a wafer.

  • West Bond 7372 Die Bonder

    Westbond Bonders

    NDNF users have access to the model 7372E die bonder, the model 7400E wedge bonder, and the model 7400A wire bonder.

Characterization

Once devices and films are created, characterization instruments are used to measure or acquire data on their properties.

  • Olympus Confocal Microscope

    Confocal Microscope

    The Olympus LEXT OLS4000 is a confocal laser (405 nm) microscope capable of taking high-resolution 3D images.

  • Bruker Dektak

    Dektak Profilometer

    The DektakXT® stylus profilometer features a revolutionary benchtop design that enables critical nanometer-level surface measurements with an unmatched repeatability of 4 Å and up to 40% improvement in scanning speeds.

  • Filmetrics Thin Film Measurement System

    Filmetrics Thin Film Measurement System

    The Filmetrics F40 is uses spectral reflectance to measure the thickness of transparent and semi-transparent thin films.

  • Kulicke Soffa Four Point Probe

    Four-Point Probe

    The Kulicke and Soffa Model 3007 four-point in-line probe is used in conjunction with a Keithley model 530 Type-All system to make applicable characterization measurements.

  • Gaertner Ellipsometer

    Gaertner Ellipsometer

    The L117 Ellipsometer has provisions for precise, pin-located setting of the angle of incidence between 45 and 90 degrees.

  • Hitachi SEM

    Hitachi SEM

    This Hitachi S-4500 field emission scanning electron microscope (FESEM) is capable of producing high-resolution images between 20k and 500k.

  • Olympus Optical Microscope

    Optical Microscopes

    Various optical microscopes are available for use in the lab with magnifications ranging from 2.5x up to 100x.

  • KLA Tencor Surface Profiler

    P6 Profilometer

    The P-6 offers complete high-resolution 2D and 3D analysis of surface topography in a versatile platform.

  • Agilent Device Analyzer

    Semiconductor Parameter Analyzer

    The M150 Measurement Platform is a high-performance probing station that allows for precision electrical measurements, from DC to high-frequency RF.

  • Vase Ellipsometer

    VASE Ellipsometer

    The Variable Angle Spectroscopic Ellipsometer (VASE®) is a totally automated, thin film characterization system that uses high-precision angle, and a wide spectral range (240 to 1700 nm).

  • Veeco Four Point Probe

    Veeco Four-Point Probe

    The FPP 5000 is a four-point probe used for the measurement of resistive properties of semiconductor wafer and resistive films.

Additional Capabilities

These additional tools can be used for device fabrication.

  • Ampoule Sealing Station

    Ampoule Sealing Station

    The ampoule sealing station is a vacuum sealing system that encapsulates samples in a small quartz vial and applied high temperatures to melt the quartz vials and form an airtight seal.

  • Critical Point Dryer

    Critical Point Dryer

    The E3100 is used for the critical point drying biological and geological specimens and the controlled drying of MEMs, aerogels and hydrogels.

  • M O S Clean Bench

    MOS Clean Bench

    The MOS clean bench and its Teflon contents are used exclusively for cleaning of metal oxide semiconductor structures.

  • Spin Rinse Dryer

    Spin Rinse Dryer

    The Sitek spin rinse dryer is a compact tabletop dryer that is capable of processing 4" wafers.